半导体常用术语

A

acceptor 受主

active region 有源区

alignment 对准

alloy 合金

aluminum(Al) 铝

aluminum subtractive process 铝刻蚀工艺

angstrom: 埃

anion 阴离子

anisotropic etch profile 各项异性刻蚀剖面

anneal 退火

APCVD(atmospheric pressure CVD) 常压化学气相沉积

argon(Ar) 氩

arsenic(As) 砷

aspect ratio 深宽比,高宽比

atomic number 原子序数

B

back-end of line(BEOL) (生产线)后端工艺

backgrind 减薄

ball grid film(BGA) 球栅阵列

barrier reactor 组档层金属

barrier voltage 势垒电压

base 基极

batch 批

beam current 束流

beam deceleration 束流减速

beam energy 离子束能量

bipolar junction transistor(BJT) 双极晶体管

bird‘s beak effect 鸟嘴效应

bonding pads 压点

boron(B) 硼

borophosphosilicate glass(BPGS) 硼磷硅玻璃

borosilicate glass(BSG) 硼硅玻璃

bottom antireflective coating(BARC) 下减反射涂层

bubbler 带鼓泡槽

buffered oxide etch(BOE) 氧化层腐蚀缓冲液

buried layer 埋层

burn-in 老化

C

cap 掩蔽氧化层

capacitance 电容

carbon tetrafluoride(CF4) 四氟化碳

carrier 载流子

carrier-depletion region 载流子耗尽区

cation 阳离子

caustic 腐蚀性的

ceramic packaging 陶瓷封装

ceramic substrate 陶瓷基座

CERDIP 陶瓷双列直插封装

channel 沟道

channeling 沟道效应

charge carrier (带电)载流子

chemical mechanical planarization (CMP) 化学机械平坦化

chemical vapor deposition (CVD) 化学气相沉积

chip 芯片

chip scale package(CSP) 芯片尺寸封装

cleanroom 净化间

CMOS 互补金属氧化物半导体

coater 涂胶

cobalt silicide 钴硅化物

coefficient of thermal expansion (CTE) 热胀系数

collector 集电极

compound semiconductor 化合物半导体

conductor 导体

contact 接触(孔)

contamination 沾污,污染

contrast 对比度,反差

copper interconnect 铜互联

covalent bond 共价键

crystal 晶体

crystal defect 晶体缺陷

crystal growth 晶体生长

crystal lattice 晶格

crystal orientation 晶向

D

damascene 大马士革工艺

darkfield mask 暗场掩膜版

defects density 缺陷密度

defect 缺陷

degree of planarity(DP) 平整度

density 密度

depletion mode 耗尽型

deposition 淀积

development (also develop) 显影

developer 显影液

device isolation 器件隔离

DI water 去离子水

die(singular or plural) 芯片

die attach 粘片

dielectric 介质

dielectric constant(k) 介电常数

diffraction 扩散

digital/analog 数字/模拟

diluent 稀释

direct chip attach(DCA) 直接芯片沾附

discrete 分离(元器件)

dishing 凹陷

dislocation 位错

donor 施主

dopant concentration(dose) 掺杂浓度(剂量)

dopant(or impurity) 掺杂(或杂质)

doped region 掺杂区

doping 掺杂

drain 漏极

dry etch 干法刻蚀

dry oxidation 干法氧化

dry plasma etch 干法等离子体刻蚀

dual damascene process 双大马士革工艺

E

edge exclusion 无效边沿区域

electrically erasable PROM 电可擦除PROM

electrode 电极

electrode 电迁移

electroplating 电镀

emitter 发射极

endpoint detection 终点检测

enhancement mode 增强型

epi 外延

epitaxial layer 外延层

erosion 腐蚀,侵蚀

etch 刻蚀

exposure 曝光

extrinsic silicon 掺杂硅

F

fault model 失效模式

feature size 特征尺寸

FEOL (生产线)前工序

field-effect transistor(FET) 场效应晶体管

field oxide 场氧化

film 膜

first interlayer dielectric(ILD-1) 第一层层间介质

flip chip 倒装芯片

float zone 区熔法

fluorosilicate glass(FSG) 氟化玻璃

focus ion beam(FIB) 聚焦离子束

G

gallium(Ga) 镓

gallium arsenide(GaAs) 砷化镓

gate 栅极

gate oxide 栅氧化硅

germanium(Ge) 锗

grown oxide layer 热氧化法生长二氧化硅

H

heteroepitaxy 异质外延

high-temperature diffusion furnace 高温扩散炉

high vacuum 高真空

hillock 小丘,(铝)尖刺

homoepitaxy 同质外延

hot electron 热电子

hydrochloric acid(HCL) 盐酸

hydrofluoric acid(HF) 氢氟酸

hydrogen(H2) 氢气

I

IC reliability 集成电路可靠性

inert gas 惰性气体

insulator 绝缘体

integrated circuit(IC) 集成电路

interconnect 互连

intrinsic silicon 本征硅

ion 离子

ion implantation 离子注入

isolation regions 隔离区

J

JEFT 结型场效应管

junction(pn) pn结

junction spiking 结尖刺

K

killer defect 致命缺陷

L

lapping 抛光

latchup 闩锁效应

lateral diffusion 横向扩散

leadframe 引线框架

leakage current 漏电流

lithography 光刻

loaded effect 负载效应

local interconnect(LI) 局部互连

local oxidation of silicon(LOCOS) 硅局部氧化隔离法

logic 逻辑

lot 批

LPCVD 低压化学气相沉积

LSI 大规模集成电路

M